library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Count the number of switches -- This is done in a direct lookup table entity cntsw is port ( switch_i: in std_ulogic_vector(4 downto 0); cnt_o: out unsigned(2 downto 0) ); end; architecture rtl of cntsw is begin process(switch_i) begin -- here we go... end process; end; -- architecture