library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Add the counted switches from both switch decoders -- and decide if the led should switch on. entity decide is port ( swcnt1_i: in unsigned(2 downto 0); swcnt2_i: in unsigned(2 downto 0); sum_o: out unsigned(3 downto 0); led_o: out std_logic ); end; architecture rtl of decide is begin end; -- architecture