library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Add two numbers entity adder is port ( a_i: in unsigned(3 downto 0); b_i: in unsigned(3 downto 0); sum_o: out unsigned(3 downto 0) ); end; architecture rtl of adder is begin add_p : process(a_i, b_i) begin sum_o <= a_i + b_i; end process add_p; end; -- architecture