library ieee; use ieee.std_logic_1164.all; -- Simple module that connects the SW switches to the LEDR lights entity first is port ( SW : in std_ulogic_vector(9 downto 0); LEDR : out std_ulogic_vector(9 downto 0)); -- red LEDs end first; architecture structure of first is begin LEDR <= SW; end structure;