[[dtpr_versuch_2]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
dtpr_versuch_2 [2010/11/19 21:49]
beckmanf Aufgaben eingefügt
dtpr_versuch_2 [2021/03/29 09:57]
gmo
Line 1: Line 1:
-==== Versuch 2 ==== 
  
-Dieser Versuch behandelt kombinatorische Schaltungen,​ die unter anderem auch arithmetische +===== Digitaltechnik Praktikum - Einführung Quartus =====
-Komponenten enthalten. ​+
  
-=== Binär ​zu 7-Segment Umsetzung ===+In diesem Tutorial lernen Sie die FPGA Synthesesoftware Quartus von Altera kennen. Das Ziel ist es das FPGA so zu konfigurieren,​ dass mit Hilfe der Schalter SW die roten Leuchtdioden LEDR einund ausgeschaltet werden können. Sie lernen drei Varianten zum Aufsetzen eines Quartusprojektes kennen ​
  
-Die erste Schaltung ist eine Binär zu 7-Segment Umkodierung "​bin2seg"​Mit einer 7-Segment Anzeige lassen +  * Manuelles Aufsetzen eines Quartusprojektes mit Hilfe des Assistenten.  
-sich die Ziffern 0-9 und mit etwas Phantasie auch die Buchstaben a-f darstellenDie Anzeige dient +  * Aufsetzen ​und Synthese ​mit eine tcl Skript.  
-dazu die Zahlen in der Schaltung in einer dezimalen, lesbaren Form anzuzeigen. Mit den Buchstaben a-f +  ​* Synthese auf Basis von Makefiles
-lässt sich dann eine 4-Bit Zahl auch als hexadezimale Zahl darstellen. ​ +
  
-Der Eingang der Schaltung ist eine vorzeichenlose 4-Bit Binärzahl und der Ausgang ist ein Vektor ​mit  +Dabei wird in den folgenden Versuchen nur die Synthese ​mit Hilfe von Makefiles verwendetAllerdings verwenden Sie die grafische Benutzeroberfläche ​der Software ​"Quartus" ​auch um beispielsweise einen Schaltplan anzuzeigen
-sieben Leitungen, die die einzelnen Segmente ansteuernZu jeder Binärzahl muss deshalb ​die geeignete  +  
-Ansteuerung ​der LEDs in der 7-Segment Anzeige gefunden werden. Wenn also der Eingang auf "0000" ​liegt,  +==== Vorbereitung ====
-dann sollen die LEDs so angesteuert werden, dass eine 0 in der Anzeige erscheintEine Testbench und  +
-ein Gerüst für die Schaltung ist hier: +
  
-[[Binär ​zu 7-Segment Kodierer Code]]+Im [[https://​www.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM/​DE1_user_manual/​DE1_UserManual_v1.2.1.pdf|User Manual]] für das Altera DE1 Board finden Sie auf den Seiten 24 bis 27 eine Beschreibung der LEDs, der Schalter SW und der Taster KEYS. Im [[https://​www.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM/​DE1_schematics/​de1_v11a.pdf|Schaltplan]] des DE1 Boards können Sie nachschauen an welchen Pins die LEDs und die Schalter angeschlossen sind. Diese Anschlüsse sind auch im Handbuch in Tabellen 4.1 bis 4.3 angegeben. Beispielsweise ist LEDR0 an Pin R20 des FPGA angeschlossen. Auf der [[https://​www.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM|CDROM]],​ die zu dem Altera DE1 Board gehört, befindet sich eine Tabelle [[https://​www.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM/​DE1_lab_exercises/​DE1_pin_assignments.csv|DE1_pin_assignments.csv]], in denen diese Zuordnung von Signalnamen wie LEDR0 zu den Pins des FPGA aufgeführt ist. 
  
-Die Schaltung ist in einen Toplevel "​top"​ eingefügt, der die Signalnamen gemäß den Konventionen 
-auf dem Board hat. Also SW für die Schalter und HEX0 für die erste 7-Segment Anzeige. Der Toplevel 
-ist so aufgebaut, dass die Schalter 3-0 an den Eingang des Umsetzers geführt werden. ​ 
  
-Das Ziel der Gesamtschaltung ist es, dass eine 4-Bitzahl an den Schaltern SW(3-0) eingestellt werden kann +==== Starten einer Shell unter Ubuntu ====
-und die entsprechende Ziffer an der 7-Segmentanzeige HEX0 ausgegeben wird. +
  
-In der Testbench werden an den Schalter verschiedene Kombinationen angelegt+{{ ::​dtpr-start-terminal.jpg | Start des Terminal}}
  
-=== Die Typen signed und unsigned: Zahlendarstellungen ===+Starten Sie das Terminal über das Menu "​System Tools -> LX Terminal"​. ​
  
-In der "​bin2seg"​ Schaltung wird der Typ "​unsigned"​ verwendet. Der Typ "​unsigned"​ leitet sich von dem +{{ ::​dtpr-terminal.jpg | Fenster mit Terminal}}
-Typen "​std_logic_vector"​ ab, der im ersten Versuch eingeführt wurde. "​std_logic_vector"​ stellt einen Bus +
-dar und die einzelnen Leitungen des Busses können Werte annehmen, die in einer Schaltung vorkommen können. +
-Das sind beispielsweise '​0',​ '​1'​ oder auch '​U'​ für undefined+
  
-Auch "​signed"​ und "​unsigned"​ sind also Busse mit einzelnen Leitungen, die jeweils typische Schaltungszustände +Jetzt haben Sie ein geöffnetes Terminalprogramm.
-annehmen können. Es wird jedoch der Typ "​signed"​ oder "​unsigned"​ verwendet, um zu kennzeichnen,​ dass dieser +
-Bus eine Zahl darstellt. Wenn ein Bus als "​signed"​ oder "​unsigned"​ definiert wird, dann kann man mathematische +
-Operatoren auf den Bus anwenden, die sich auf einen Bus vom Typ "​std_logic_vector"​ nicht anwenden lassen, da sie +
-keinen Sinn ergeben. Die "​signed"​ und "​unsigned"​ Typen sind im package [[http://​www.cs.umbc.edu/​portal/​help/​VHDL/​packages/​numeric_std.vhd|numeric_std]] definiert+
  
-== Operatoren und Zuweisungen für signed und unsigned ​==+=== Überblick über einige Unix Shellbefehle ===
  
-Für die Typen "signed" ​und "unsigned" ​sind unter anderem folgende Operatoren und Zuweisungen definiert:+^ Befehl ^ Beispiel ^ Beschreibung ^ 
 +|ls| ls -la | Anzeige der Dateien im aktuellen Verzeichnis| 
 +|pwd| pwd | Anzeige des Pfads vom aktuellen Verzeichnis| 
 +|mkdir| mkdir projects| Erzeugen eines neuen Verzeichnisses ​"projects" ​
 +|cd| cd projects | Wechsel in das Verzeichnis ​"projects" ​
 +|cd| cd .. | Wechsel in das höhere Verzeichnis ausgehend vom aktuellen Verzeichnis| 
 +|man| man ls | Aufruf des Handbuchs für den Befehl "​ls"​ | 
 +|rm| rm hallo.txt| Löschen der Datei "​hallo.txt"​ | 
 +|less| less hallo.txt| Anschauen der Datei "​hallo.txt"​. Beenden mit "​q"​| 
 +|[[http://​heather.cs.ucdavis.edu/​~matloff/​UnixAndC/​Editors/​ViIntro.html|vi]]| vi hallo.txt| Editor starten für die Datei hallo.txt|
  
-<code vhdl> +==== Laden der Designdaten vom git Server ====
-signal a,b,c : unsigned(7 downto 0); -- Ebenso mit signed +
-signal vergleich : Boolean; ​         -- Der Typ Boolean kann die Werte "​true"​ oder "​false"​ annehmen+
  
-c <= a + b;  +Die Designdaten für das Digitaltechnikpraktikum sind auf dem [[https://​gitlab.elektrotechnik.hs-augsburg.de|git Server der Fakultät Elektrotechnik]]. Der Zugriff erfolgt über das git Programm. Auf dem git server befindet sich das [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum|Projekt Digitaltechnikpraktikum]] ​mit den Dateien für das Praktikum.
-c <= a + 1; -- Addition ​mit einer Zahl +
-c <= a - b; +
-c <= a - 1;  +
-c <= "​00000000";​ +
-c <= "​10101010"; ​+
  
-vergleich <= a > b;  ​-- Dem Signal "​vergleich"​ wird das Ergebnis ​des Vergleichs zugewiesen +{{ ::dtpr-mkdir-projects.jpg | Anlegen ​des Projektverzeichnisses}}
-vergleich <= a < b; +
-vergleich <= a <= b;  +
-vergleich <= a >= b;  +
-vergleich <= a = b;  +
-vergleich <= a > 5;  ​+
  
 +Richten Sie ein Verzeichnis "​projects"​ in Ihrem home directory ein. Das Homedirectory ist "/​home/​caeuser"​. Wechseln Sie in das Verzeichnis "​projects"​ und laden Sie mit "​git"​ die Designdaten vom git Server.  ​
 +
 +<​code>​
 +mkdir projects
 +cd projects
 +git clone https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum.git
 +ls -la
 </​code>​ </​code>​
-Diese Operatoren können auch mit Zahlen (integer) als Operanden durchgeführt werden. Einem "​signed"​ oder 
-"​unsigned"​ Bus kann jedoch keine Zahl direkt zugewiesen werden.  ​ 
  
-In der Schaltung "​bin2seg"​ ist der Eingang "​number_i"​ vom Typen "​unsigned"​. In der Testbench ist das +Das sollte dann so aussehen: ​
-Signal "​number"​ vom Typ "​unsigned"​. In der Testbench wird auch die Addition verwendet.  ​+
  
-== Typumwandlungen signed, unsigned ​ == +{{ ::​dtpr-git-clone.jpg | Projektverzeichnis nach Download}}
  
-"signed" und "unsigned" ​sind direkt vom Typen "std_logic_vector"​ abgeleitetMan kann deshalb durch +Wechseln sie dann in das Verzeichnis ​"digitaltechnikpraktikum" und dann in das Verzeichnis ​"src". Starten Sie dort einen Editor und schauen sich die Datei "ledsw_rtl.vhd" an
-einfache casts diese Typen ineinander umwandelnDas geht so: +
  
-<​code ​vhdl+<​code>​ 
-signal a : signed (7 downto 0);  +cd digitaltechnikpraktikum 
-signal b unsigned (7 downto 0); +cd src 
-signal c std_ulogic_vector(7 downto 0);+ls -la 
 +emacs ledsw_rtl.vhd 
 +</​code>​ 
 + 
 +{{ ::​dtpr-emacs.jpg | emacs mit ledsw_rtl.vhd}} 
 + 
 +Im Emacs Editor werden nach dem Start zwei "​Buffer"​ angezeigt. Tippen Sie <​code>​ctrl-x 1</​code>​ um nur den Buffer mit der VHDL Datei anzuzeigen.  
 + 
 +{{::​dtpr-emacs-onebuf.jpg| emacs nach dem Umschalten auf einen Buffer mit ctrl-x 1}} 
 + 
 +Die VHDL Datei beschreibt ein Design mit 10 Eingängen "​SW"​ und 10 Ausgängen "​LEDR"​. In der Architektur werden die Eingänge "​SW"​ auf die Ausgänge "​LEDR"​ geschaltet. Damit sollen die roten LEDs abhängig von den Schaltern "​SW"​ ein- und ausgeschaltet werden. 
 +==== Synthese mit Altera Quartus - Manuelles Aufsetzen des Projektes ====
  
-a <= signed(b);  +Im ersten Anlauf wird das Projekt manuell mit Hilfe des Assistenten aufgesetzt. Im Projekt gibt es ein Verzeichnis "​pnr"​. Dieser Name steht für "Place And Route" und umfasst die Designdaten für die Synthese einer Schaltung für das FPGA. Wechseln Sie in das Verzeichnis "​ledsw-manual"​.
-a <= signed(c);​ +
-b <= unsigned(a);​ +
-b <= unsigned(c);​ +
-c <= std_ulogic_vector(a);​ +
-c <= std_ulogic_vector(b); ​+
  
 +<​code>​
 +cd
 +cd projects
 +cd digitaltechnikpraktikum
 +cd pnr
 +cd ledsw-manual
 </​code>​ </​code>​
  
-Bei diesen Umwandlungen wird nur der Typ umdefiniert. Es ändert ​sich nichts am Zustand der Leitungen, d.h. hier wird +In diesem Verzeichnis befindet ​sich eine Datei "​ledsw_pin_assignments.csv"Diese Datei enthält die Pinkonfiguration für die Schalter "​SW"​ und die Leuchtdioden "​LEDR"​ Sie können sich die Datei mit 
-keine Schaltung o.ä. generiert+
  
-=== Aufgaben Teil 1 ===+<​code>​ 
 +less ledsw_pin_assignments.csv 
 +</​code>​
  
-  - Laden Sie die drei VHDL Dateien aus [[Binär zu 7-Segment Kodierer Code]] in ein Verzeichnis ​"v2"​. ​ +anschauen. Zum Verlassen von "​less"​ drücken ​Sie "q". Starten Sie jetzt die Altera Quartus Software mit "quartus"
-  - Starten Sie Modelsim und erstellen Sie ein neues Projekt +
-  - Simulieren Sie die Schaltung und vollziehen Sie die Funktion nach.  +
-  - Ergänzen Sie die Schaltung in der Datei "bin2seg.vhd" ​um eine vollständige Kodierung zu erstellen. +
-  - Simulieren Sie die Schaltung erneut und prüfen Sie die Funktion +
-  - Starten Sie Quartus und erstellen Sie ein Projekt +
-  - Synthetisieren Sie die Schaltung  +
-   +
-=== Die Additionsschaltung === +
  
-Wenn die 7-Segment Anzeige läuft, dann kann die Schaltung um eine Additionsschaltung erweitert werden. Das Ziel der  +<​code>​ 
-Schaltung ist es, an den Schaltern zwei 4-Bit unsigned Zahlen einzugeben und die Summe der beiden Zahlen zu berechnen. ​ +quartus 
-Die Summanden und die Summe soll auf der 7-Segment Anzeige ausgegeben werden. ​+</​code>​
  
-[[Code für die Additionsschaltung]]+Es erscheint folgendes Fenster. ​
  
-In dieser Schaltung werden zwei vorzeichenlose Zahlen addiert und das Ergebnis ausgegeben+{{ ::​dtpr-quartus-start.jpg | Startbildschirm Quartus}}
  
-=== Aufgaben Teil 2 ===+Drücken Sie "​Create a new project"​. ​
  
-  ​Kopieren Sie den bisherigen Stand des Projektes in ein eigenes Verzeichnis "​p1"​ +{{ ::dtpr-quartus-projectname.jpg | Quartus ​Projektname}}
-  ​Laden Sie den Code für die Additionsschaltung zusätzlich in ihr Verzeichnis +
-  - Starten Sie Modelsim und fügen Sie die Datei "adder.vhd" dem Projekt hinzu +
-  - Erweitern Sie die Schaltung "​top"​ und instantiieren Sie den Addierer in der Schaltung "​top"​ +
-  - Schließen Sie den Eingang a an die Schalter 3-0 und den Eingang b an die Schalter 8-5 an.  +
-  - Instantiieren Sie zwei zusätzliche bin2seg Konverter +
-  - Geben Sie den Summanden a auf dem Ausgang HEX0 aus. +
-  - Geben Sie den Summanden b auf dem Ausgang HEX1 aus. +
-  - Geben Sie die Summe auf dem Ausgang HEX2 aus.   +
-  - Simulieren Sie die Schaltung und prüfen Sie die Funktion +
-  - Synthetisieren Sie die Schaltung mit Quartus+
  
-=== Die Abstimmungsschaltung === +Wählen Sie als Projektnamen "​ledsw"​ und als Namen für die Toplevelentity auch "​ledsw"​. Achten Sie darauf, dass das working directory "​digitaltechnikpraktikum/​pnr/​ledsw-manual"​ ist. In diesem Verzeichnis werden die (u.a. temporäre) Projektdateien gespeichert. ​
  
-Diese Schaltung soll eine LED einschalten,​ wenn mehr als die Hälfte der Schalter SW +{{ ::​dtpr-quartus-add-file-1.jpg | Quartus VHDL Datei auswählen}}
-eingeschaltet sind. Insgesamt gibt es zehn Schalter. Grundsätzlich lässt sich diese +
-Aufgabe analog wie die Kodierschaltung für die 7-Segment Anzeige lösen+
  
-<code vhdl> +Im nächsten Schritt müssen dem Projekt die VHDL Dateien hinzugefügt werden. In diesem Projekt gibt es nur die eine Datei "​ledsw_rtl.vhd"​. Wählen Sie die Datei aus und klicken Sie auf "​ADD"​. ​
-signal schalter : std_ulogic_vector(9 downto 0);  +
-signal led : std_ulogic; ​+
  
-process(schalter) +{{ ::​dtpr-quartus-add-file-2.jpg | Quartus VHDL Datei hinzufügen}} 
-begin + 
-  case schalter is +Danach muss der FPGA Typ auswählt werden, der sich auf der Platine befindet. Wählen Sie als Familie "​Cyclone II" und als Baustein "​EP2C20F484C7"​.  
-    ​when ​"1111110000"| + 
-         ​"1111101000"| +{{ ::​dtpr-quartus-select-fpga.jpg | Quartus Select FPGA Device}} 
-         ​"1111100100"| + 
-         ​-- many more here +Danach können Sie beim Assistenten immer auf "Weiter" ​klicken und dann den Assistenten beenden. Das Projekt sollte dann so aussehen:  
-         ​"0000111111" ​=led <= '1'; + 
-    when others ​=> led <'​0';​ +{{ ::​dtpr-quartus-edit-view.jpg ​Quartus Projekt eingerichtet ohne Pins}} 
-  end case;  + 
-end process; ​+Starten Sie jetzt die Übersetzung der VHDL Datei mit "Processing -> Start Compilation".  
 + 
 +{{ ::​dtpr-quartus-start-compilation.jpg ​Quartus Start Compilation}} 
 + 
 +Die Synthese sollte durchlaufen. Allerdings treten zwei wichtige Warnmeldungen auf.  
 + 
 +{{ ::​dtpr-quartus-warnings.jpg | Quartus Warnungen bei Synthese}} 
 + 
 +Die eine Meldung sagt aus, dass für Ein- und Ausgänge keine Pins auf dem FPGA festgelegt sind. Die andere Meldung besagt, dass alle ungenutzten Pins vom FPGA als "Output driving Ground" ​geschaltet sind. Das ist nicht gut, wenn an diesem Pin z.B. ein Schalter angeschlossen ist, der auf VDD geschaltet ist. Dann gibt es einen Kurzschluss.  
 + 
 +Man kann die nicht angeschlossenen Pins auch im "Pin Planner"​ anschauen. 
 + 
 +{{ ::​dtpr-quartus-start-pinplanner.jpg ​Quartus Pin Planner starten}} 
 + 
 +Dort sieht man, dass für die Anschlüsse "​LEDR"​ und "​SW"​ noch keine Pinfestlegung erfolgt ist. Das "​Location"​ Feld ist noch leer. 
 +  
 +{{ ::dtpr-quartus-pinplanner-nolocation.jpg | Quartus Pin Planner ohne Zuordnung der Pins}} 
 + 
 +Um die Pins festzulegen wird jetzt mit "Assignments -> Import Assignments" ​die Datei "​ledsw_pin_assignments.csv"​ eingelesen.  
 + 
 +{{ ::​dtpr-quartus-import-assignments.jpg | Quartus Import Assignments}} 
 +{{ ::​dtpr-quartus-select-assignmens.jpg | Quartus Pin Assignment Datei}} 
 + 
 +Wenn man dann den "Pin Planner"​ nochmal öffnet, sieht man die Zuordnung der Ein- und Ausgänge zu den Pins auf dem FPGA. 
 + 
 +{{ ::​dtpr-quartus-pinplanner-withpins.jpg | Quartus Pin Planner o.k.}} 
 + 
 +Jetzt muss noch festgelegt werden, dass unbenutzte Pins als Eingänge geschaltet werden. Wählen Sie dazu "​Assignments -Device"​.  
 + 
 +{{ ::​dtpr-quartus-device-options-1.jpg | Quartus Device Options}} 
 + 
 +und dann "​Device and Pin Options"​ 
 + 
 +{{ ::​dtpr-quartus-device-options-2.jpg | Quartus Device and Pin Options}} 
 + 
 +Wählen Sie dann bei "​Unused Pins" die Option "As input tri-stated"​.  
 + 
 +{{ ::​dtpr-quartus-unused-pins.jpg | Quartus Unused pin options}} 
 + 
 +** Sie müssen dann die Synthese nochmal neu starten mit "​Processing -> Start Compilation"​.** Nach erfolgreicher Synthese können Sie den "​Programmer"​ starten mit "Tools -> Programmer"​. 
 + 
 +{{ ::​dtpr-quartus-programmer-start.jpg | Quartus Programmer starten}} 
 + 
 +Im Programmer muss man dann die Programmierschnittstelle zur Programmierung des FPGA auswählen. Das funktioniert nur, wenn auch tatsächlich ein FPGA Board über USB am Rechner angeschlossen ist. Falls Sie mit einer virtuellen Maschine arbeiten, muss der USB Port der virtuellen Maschine zugeordnet werden.  
 + 
 +{{ ::​dtpr-quartus-hardware-setup.jpg | Quartus Programmer}} 
 + 
 +Wenn das FPGA Board angeschlossen und die virtuelle Maschine richtig konfiguriert ist, dann kann man **USB-Blaster [2-2.2]** auswählen. 
 + 
 +{{::​dtpr-quartus-hardware-select.jpg| Quartus USB Blaster}} 
 + 
 +Nach dem Kompilieren steht die FPGA Konfigurationsdatei "​ledsw.sof"​ zur Verfügung. Diese Datei muss man mit "Add File" auswählen. Danach kann man den Download der Konfigurationsdatei mit "​Start"​ beginnen. 
 + 
 +{{::​dtpr-quartus-programmer-file.jpg| Quartus Programmer mit USB Blaster}} 
 + 
 +Wenn der Download erfolgreich war, dann sieht man das am grünen "​Progress"​ Balken. 
 + 
 +{{::​dtpr-quartus-programmer-success.jpg| Quartus Programmer nach erfolgreichem Download}} 
 + 
 +Danach kann man auf dem FPGA die roten LED's über die Schalter darunter ein- und ausschalten. 
 + 
 +==== Synthese mit Altera Quartus - Projekt aufsetzen mit Skript ==== 
 + 
 +Alternativ zum Aufsetzen mit der interaktiven GUI kann man das Projekt auch mit einem Skript konfigurieren. Der Vorteil dieses Verfahrens ist, dass immer klar ist wie das Projekt konfiguriert wurde. Man kann zu jedem Zeitpunkt auf Basis der VHDL Dateien und der Skripte das Projekt neu aufsetzen. Jede einigermaßen professionelle Entwicklung nutzt deshalb Skripte als Basis. Eine häufig verwendete Skriptsprache für CAE Software ist tcl. Auch Altera verwendet tcl um die Verwendung der GUI zu vermeiden. Wechseln Sie in das Verzeichnis ledsw-scripted.  
 + 
 +<​code>​ 
 +cd 
 +cd projects 
 +cd digitaltechnikpraktikum 
 +cd pnr 
 +cd ledsw-scripted
 </​code>​ </​code>​
  
-Das Problem sind die zehn Schalter...+In diesem Verzeichnis befinden sich zwei tcl Skripten
  
-Deshalb sollen zunächst die eingeschalteten Schalter für fünf Schalter gezählt werden.  +=== Erzeugen ​und Konfigurieren des Projektes ===
-Dazu wird eineSchaltung "​cntsw"​ verwendet, die fünf Schalter als Eingang hat und +
-einen Ausgang cnt_o mit 3-Bit. Am Ausgang ist die Anzahl der eingeschalteten Schalter +
-abzulesen. Wenn also am Eingang beispielsweise "​01011"​ anliegt, dann kommt am Ausgang der Wert +
-"​011"​ (=3) heraus.  ​+
  
-[[Code für die Abstimmungsschaltung]]+Das Skript ​[[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​pnr/​ledsw-scripted/​create_quartus_project_settings.tcl|create_quartus_project_settings.tcl]] sorgt für die folgenden Schritte beim Aufsetzen des Quartusprojektes. ​
  
-Dann wird diese Schaltung zweimal instantiiert und jeweils für die Schalter 
-SW(4..0) und SW(9..5) eingesetzt. Das Zählergebnis für die Schaltergruppen 
-wird dann addiert. Anhand der Summe der eingeschalteten Schalter, soll dann 
-die LEDR(0) eingeschaltet b.z.w. ausgeschaltet werden. ​ 
  
-== Tip: ==+  * Konfigurieren des Projektnamens 
 +  * Festlegen des FPGA Typen 
 +  * Hinzufügen der VHDL Dateien 
 +  * Konfigurieren der Pins
  
-Für das Einschalten der LED können Sie einen if...then...else Konstrukt verwenden:+Das Skript wird ausgeführt mit
  
-<​code ​vhdl+<​code>​ 
-signal zahl : unsigned(9 downto 0); +quartus_sh -t create_quartus_project_settings.tcl 
-signal led  : std_ulogic; ​+</​code>​
  
-process(zahl) +Nachdem das Skript gelaufen ist, befindet sich in dem Verzeichnis die Quartus Projektdatei "​ledsw.qpf"​. Sie können das Projekt mit der Quartus GUI anschauen mit:  
-begin + 
-  if zahl 354 then +<code
-    led <= '​1';​ +quartus ledsw.qpf
-  else +
-    led <= '​0';​ +
-  end if; +
-end process; ​+
 </​code>​ </​code>​
  
-=== Aufgaben Teil 3 ===+=== Starten der Synthese ​=== 
 + 
 +Das Skript [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​pnr/​ledsw-scripted/​quartus_project_flow.tcl|quartus_project_flow.tcl]] sorgt für die Schritte 
 + 
 +  * Analyse und Elaborieren des VHDL Codes 
 +  * Starten der Synthese und Fitting auf das FPGA 
 +  * Erzeugen des Synthesereports "​flowsummary.log"​ 
 + 
 +Starten Sie das Skript mit  
 + 
 +<​code>​ 
 +quartus_sh -t quartus_project_flow.tcl 
 +</​code>​ 
 + 
 +Nach diesem Schritt befindet sich im Verzeichnis die Datei "​flowsummary.log"​ mit dem Synthesebericht und die Datei "​ledsw.sof"​. Die sof Datei ist die Konfigurationsdatei für das FPGA, die jetzt mit dem Programmer auf das Board geladen werden kann.  
 + 
 +=== Download der FPGA Konfigurationsdatei mit dem Programmer === 
 + 
 +Danach können Sie das Board programmieren mit 
 + 
 +<​code>​ 
 +quartus_pgm -c USB-Blaster --mode jtag --operation="​p;​ledsw.sof"​ 
 +</​code>​ 
 + 
 +Jetzt können mit den Schaltern "​SW"​ die roten Leuchtdioden auf dem Board an- und ausgeschaltet werden. Die Variante mit dem Skript kommt ohne GUI aus. Allerdings ist es nicht notwendig jedesmal wenn sich beispielsweise der Code in der VHDL Datei geändert hat auch das Projekt komplett neu aufzusetzen. Das gezielte Ausführen der jeweils notwendigen Skripten wird mit dem im folgenden beschriebenen Make basierten Verfahren gewährleistet. 
 +  
 +==== Synthese mit Altera Quartus - Make basiert ==== 
 + 
 +Nach der skriptbasierten Synthese der Schaltung wird jetzt die endgültige Variante basierend auf dem Tool "​make"​ eingeführt. Alle folgenden Projekte werden mit make aufgesetzt. Das Verfahren mit make ist ähnlich dem Verfahren mit den Skripten - auch bei Make werden solche Skripte aufgerufen. Bei dem Skriptverfahren gibt es zwei Skripte. Ein Skript erstellt das Projekt und ein Skript startet die Synthese. Für das Tool make gibt man in einer Datei "​makefile"​ an welche Dateien von einem Skript erzeugt werden und welche Dateien für den Schritt gebraucht werden (die Abhängigkeiten). Make überwacht dann diese Abhängigkeiten und wenn sich irgendeine Datei ändert (z.B. eine VHDL Datei), dann ruft make alle notwendigen Schritte auf um das Projekt zu aktualisieren.  
 + 
 +Die makefiles im Digitaltechnikpraktikum sind aufgeteilt. Es gibt jeweils ein makefile pro Projekt und ein makefile für alle Projekte, da die meisten Schritte in jedem Projekt gleich sind und sich nur die VHDL Dateien und die Pins vom FPGA ändern. 
 + 
 +Wechseln Sie in das Verzeichnis "​digitaltechnikpraktikum/​pnr/​ledsw-make"​.  
 + 
 +<​code>​ 
 +cd 
 +cd projects 
 +cd digitaltechnikpraktikum 
 +cd pnr 
 +cd ledsw-make 
 +</​code>​ 
 + 
 +In diesem Verzeichnis [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​tree/​master/​pnr/​ledsw-make|ledsw-make]] befinden sich zwei Dateien. Die Datei [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​pnr/​ledsw-make/​makefile|makefile]] ​ enthält die Informationen für das Tool "​make"​. Die Liste der VHDL Dateien für die Synthese wird aus der Datei [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​sim/​ledsw/​makefile.sources|../​../​sim/​ledsw/​makefile.sources]] aus dem Simulationsverzeichnis geladen. Danach wird das allgemeine makefile für alle Syntheseprojekte [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​pnr/​makefile|pnr/​makefile]] mit "​include ../​makefile"​ geladen.  
 + 
 +In diesem [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​pnr/​makefile|pnr/​makefile]] sind verschiedene "​Targets"​ angegeben. Targets können Dateien oder Namen sein. Ein Target ist beispielsweise die Datei "​flowsummary.log"​. In Zeile 40 steht, dass das Target "​flowsummary.log"​ abhängt von der Quartusprojektdatei ledsw.qpf und dem Skript ../​../​scripts/​quartus_project_flow.tcl. Die Datei ledsw.qpf ist wieder ein eigenes Target. In Zeile 28 steht das "​ledsw.qpf"​ von den VHDL Dateien, von dem Skript [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​scripts/​create_quartus_project_settings.tcl|create_quartus_project_settings.tcl]] und der Pinkonfigurationsdatei [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​-/​blob/​master/​pnr/​ledsw-make/​ledsw_pins.tcl|ledsw_pins.tcl]] abhängt. In den folgenden Zeilen 29 bis 35 steht, wie die Projektdatei ledsw.qpf erzeugt wird. Daneben gibt es noch "PHONY Targets"​ wie z.B. qproject. Dieses Target hängt von ledsw.qpf ab.  
 + 
 +Um ein Target zu erzeugen muss man make aufrufen. Wenn man kein Target angibt, wird das erste Target genommen, dass gefunden wird. Das ist hier "​help"​ und zeigt die möglichen Targets an.  
 + 
 +<​code>​ 
 +make 
 +</​code>​ 
 + 
 +Um das Quartusprojekt zu erzeugen, muss man make mit dem Target "​qproject"​ aufrufen.  
 + 
 +<​code>​ 
 +make qproject 
 +</​code>​ 
 + 
 +Man sieht wie jetzt quartus mit dem Skript zum Erzeugen eines Projektes gestartet wird. Der Sinn von make wird deutlich, wenn man danach "make qproject"​ ein zweites Mal aufruft.  
 + 
 +<​code>​ 
 +caeuser@vcae:​~/​projects/​digitaltechnikpraktikum/​pnr/​ledsw-make$ make qproject 
 +make: Nothing to be done for `qproject'​. 
 +</​code>​ 
 + 
 +Das Programm make hat erkannt, dass das Projekt schon erzeugt ist und neuer ist als alle abhängigen Dateien. Deshalb muss quartus nicht noch einmal gestartet werden, denn das Projekt ist aktuell. Wenn Sie jetzt beispielsweise die Datei "​ledsw_pins.tcl"​ ändern und nochmal "make qproject"​ starten, dann wird Quartus wieder gestartet um das Projekt neu zu bauen. Sie können das ausprobieren wenn Sie mit dem Programm "​touch"​ die Datei "​leds_pins.tcl"​ mit einem neuen Änderungszeitstempel versehen, so als ob Sie die Datei geändert hätten.  
 + 
 +<​code>​ 
 +touch ledsw_pins.tcl 
 +make qproject 
 +</​code>​ 
 + 
 +Mit "make clean" können alle erzeugten Dateien gelöscht werden. Mit "make prog" wird die Belegungsdatei ledsw.sof auf das FPGA geladen. make sorgt dafür, dass alle notwendigen Schritte (Projekt konfigurieren / Synthese starten) vorher ausgeführt werden. Mit 
 + 
 +<​code>​ 
 +make quartus 
 +</​code>​
  
-  - Kopieren Sie den bisherigen Stand des Projektes in ein Verzeichnis p2 +wird das Projekt erzeugt ​und Quartus mit der graphischen Benutzeroberfläche gestartet
-  - Laden Sie die zusätzlichen VHDL Dateien aus dem [[Code für die Abstimmungsschaltung]] in Ihr Verzeichnis +
-  - Vervollständigen Sie die Schaltung "​cntsw" ​und prüfen Sie die Funktion im Simulator. +
-  - Bauen Sie eine Schaltung "​decide",​ die die Summe der beiden Schalterzähler berechnet und auf Basis dieser Summe die LED einschaltet. +
-  - Geben Sie die Ausgaben der "​cntsw"​ Schaltungen auf HEX0 und HEX1 aus und die Gesamtsumme auf HEX2.   +
-  - Synthetisieren Sie die Schaltung für das FPGA+
  
  
  
 + 
  
  
Line 199: Line 307:
  
  
 + 
  
  
  • dtpr_versuch_2.txt
  • Last modified: 2021/03/29 09:57
  • by gmo