[[dtpr_versuch_4]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
dtpr_versuch_4 [2014/04/08 15:20]
beckmanf [Aufgabe Siebensegmentanzeige] - FPGA removed
dtpr_versuch_4 [2015/04/17 11:40]
beckmanf [Beispiel ledcomb_rtl.vhd]
Line 17: Line 17:
 </​code>​ </​code>​
  
-eingeben. Dann werden neue oder geänderte Daten vom git server geladen. ​+eingeben. Dann werden neue oder geänderte Daten vom git server geladen. Wenn auf dem Server Änderungen an Dateien vorgenommen wurden für die Sie auch Änderungen vorgenommen haben, z.B. für die Datei t_ledcomb.vhd,​ dann wird die pull Aktion abgebrochen. In diesem Fall müssen die Dateien zusammengeführt werden, allerdings können Sie auch ihre Änderungen verwerfen. Sie können ihre Änderungen verwerfen und den letzten Stand wiederherstellen mit 
 + 
 +<​code>​ 
 +cd  
 +cd digitaltechnikpraktikum/​src/​ 
 +rm t_ledcomb.vhd 
 +git checkout t_ledcomb.vhd 
 +cd .. 
 +</​code>​ 
 + 
 +Danach sollte die pull Aktion funktionieren
  
 ==== Beispiel ledcomb_rtl.vhd ==== ==== Beispiel ledcomb_rtl.vhd ====
Line 45: Line 55:
 === Analyse des Syntheseergebnisses === === Analyse des Syntheseergebnisses ===
  
-Die Quartussoftware erlaubt eine Analyse des Syntheseergebnisses. In Figure 2-1 im [[https://www2.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM/​Datasheets/​Cyclone_II/​cyc2_cii5v1_01.pdf|Cyclone II Datenblatt]] ist die Chipstruktur des FPGA dargestellt. Ein Großteil des FPGA besteht aus Logikelementen (LE), die konfigurierbar miteinander verbunden werden können. Ein Logikelement ist in Figure 2-3 im Datenblatt dargestellt. Mit der "​Four-Input LUT" (eine Lookuptabelle mit 4 Eingängen) kann jede boolesche Funktion mit 4 Eingängen und einem Ausgang dargestellt werden. Im Logikelement befindet sich zusätzlich noch ein Flipflop, das in diesem Versuch nicht benutzt wird. Insgesamt befinden sich auf dem FPGA auf dem Board 18752 Logikelemente. Bei der Synthese müssen die Logikelemente konfiguriert werden, d.h. die boolesche Funktion der "​Four-Input LUT" wird festgelegt, und die Logikelemente müssen miteinander verbunden werden.  ​+Die Quartussoftware erlaubt eine Analyse des Syntheseergebnisses. In Figure 2-1 im [[https://www.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM/​Datasheets/​Cyclone_II/​cyc2_cii5v1_01.pdf|Cyclone II Datenblatt]] ist die Chipstruktur des FPGA dargestellt. Ein Großteil des FPGA besteht aus Logikelementen (LE), die konfigurierbar miteinander verbunden werden können. Ein Logikelement ist in Figure 2-3 im Datenblatt dargestellt. Mit der "​Four-Input LUT" (eine Lookuptabelle mit 4 Eingängen) kann jede boolesche Funktion mit 4 Eingängen und einem Ausgang dargestellt werden. Im Logikelement befindet sich zusätzlich noch ein Flipflop, das in diesem Versuch nicht benutzt wird. Insgesamt befinden sich auf dem FPGA auf dem Board 18752 Logikelemente. Bei der Synthese müssen die Logikelemente konfiguriert werden, d.h. die boolesche Funktion der "​Four-Input LUT" wird festgelegt, und die Logikelemente müssen miteinander verbunden werden.  ​
  
 Wechseln Sie in das Syntheseverzeichnis,​ starten Sie die Synthese und starten Sie die Quartus GUI.  Wechseln Sie in das Syntheseverzeichnis,​ starten Sie die Synthese und starten Sie die Quartus GUI. 
Line 82: Line 92:
 Mit einem Doppelklick auf die Logikelemente wird die Konfiguration der Lookuptabelle als Schaltplan mit Logikgattern dargestellt.  ​ Mit einem Doppelklick auf die Logikelemente wird die Konfiguration der Lookuptabelle als Schaltplan mit Logikgattern dargestellt.  ​
  
-  - Warum hat das Logikelement vor LEDG(3) eine ODER Funktion mit SW(0) und SW(1) als Eingängen?  +  ​- Weisen Sie nach, dass die Eingänge des Logikelementes,​ dessen Ausgang an LEDG(3) angeschlossen ist, die Eingänge SW(0) und SW(1) sind.  
-  - Warum wird die Funktion für LEDG(0) nicht mit einem Logikelement ​berechnet? ​+  ​- Warum hat dieses ​Logikelement vor LEDG(3) eine ODER Funktion mit den Eingängen ​SW(0) und SW(1)?  
 +  - Warum wird die Funktion für LEDG(0) nicht mit einem, sondern mit zwei Logikelementen ​berechnet? ​
   - Warum ist das Logikelement an LEDG(7) als UND Gatter konfiguriert? ​   - Warum ist das Logikelement an LEDG(7) als UND Gatter konfiguriert? ​
- 
 ==== Beispiel hierarchisches Design ==== ==== Beispiel hierarchisches Design ====
  
  • dtpr_versuch_4.txt
  • Last modified: 2023/04/02 17:03
  • by beckmanf