[[dtpr_versuch_6]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
dtpr_versuch_6 [2013/11/13 10:30]
beckmanf [Zulässige Komponenten für den Schaltungsentwurf] - Hinweis auf VHDL eingefügt
dtpr_versuch_6 [2014/10/02 21:27]
beckmanf [Laboraufgaben]
Line 19: Line 19:
 Im DE1 Usermanual ​ Im DE1 Usermanual ​
  
-https://​www.hs-augsburg.de/​~haf/secure/prototypeboards/DE1_UserManual_v1018.pdf+https://​www.hs-augsburg.de/​~beckmanf/restricted/DE1_V.1.0.1_CDROM/DE1_user_manual/​DE1_UserManual_v1.2.1.pdf
  
 ist die Verschaltung des VGA Anschlusses FPGA in Kapitel 4.6 dargestellt. Die Funktion dieser Verschaltung ist hier ist die Verschaltung des VGA Anschlusses FPGA in Kapitel 4.6 dargestellt. Die Funktion dieser Verschaltung ist hier
Line 26: Line 26:
  
 beschrieben. ​ beschrieben. ​
- 
 ==== Fragen zur Vorbereitung ==== ==== Fragen zur Vorbereitung ====
  
Line 47: Line 46:
 ===== Laboraufgaben ===== ===== Laboraufgaben =====
  
-Lassen Sie im Labor diese {{:​public:​digilab_vga:​dtpr-checkliste-v6.pdf|Checkliste V6}} von den Betreuern abzeichnen. Installieren Sie das Projektverzeichnis ​{{:​public:​digilab_vga:​digilab_vga.zip|digilab_vga.zip}}. ​+Lassen Sie im Labor diese {{:​public:​digilab_vga:​dtpr-checkliste-v6.pdf|Checkliste V6}} von den Betreuern abzeichnen. Installieren Sie das Projektverzeichnis ​mit
  
 +<​code>​
 +git clone https://​git.etech.fh-augsburg.de/​friedrich.beckmann/​digilab_vga.git
 +</​code>​
 ==== Analyse des VGA DAC ==== ==== Analyse des VGA DAC ====
  
Line 156: Line 158:
 architecture rtl of reg is architecture rtl of reg is
 begin begin
-  q_o <= '​0' ​when res_n = '​0'​ else d_i when rising_edge(clk_i);​+  q_o <= "​0000000000" ​when res_n = '​0'​ else d_i when rising_edge(clk_i);​
 end architecture;​ end architecture;​
 </​code>​ </​code>​
Line 167: Line 169:
   signal clk, res_n : std_ulogic;   signal clk, res_n : std_ulogic;
 begin begin
-  q <= '​0' ​when res_n = '​0'​ else d when rising_edge(clk);​+  q <= "​0000000000" ​when res_n = '​0'​ else d when rising_edge(clk);​
   -- weiterer Code   -- weiterer Code
   --    -- 
  • dtpr_versuch_6.txt
  • Last modified: 2023/04/17 14:12
  • by beckmanf