library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_tb is end; architecture beh of top_tb is component top port ( SW: in std_ulogic_vector(3 downto 0); -- Switches LEDR: out std_ulogic_vector(9 downto 0); -- Red LEDs above switches HEX0: out std_ulogic_vector(6 downto 0) -- 7 Segment Display ); end component; signal number : unsigned(3 downto 0); signal switch : std_ulogic_vector(3 downto 0); signal hex0 : std_ulogic_vector(6 downto 0); signal ledr : std_ulogic_vector(9 downto 0); begin top_i0 : top port map ( SW => switch, LEDR => ledr, HEX0 => hex0); switch <= std_ulogic_vector(number); process begin number <= "0000"; wait for 10 us; for i in 0 to 31 loop number <= number + 1; wait for 10 us; end loop; end process; end; -- architecture