library ieee; use ieee.std_logic_1164.all; entity myand5 is port ( x_i : in std_ulogic_vector(4 downto 0); y_o : out std_ulogic); end entity myand5; architecture rtl of myand5 is begin end architecture rtl;