[[die_erste_schaltung]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Last revision Both sides next revision
die_erste_schaltung [2010/11/12 10:36]
beckmanf std_ulogic_vector eingeführt
die_erste_schaltung [2010/11/15 16:55]
magg initialisierung entfernt
Line 27: Line 27:
  
 -- Signal declaration for the switches and the leds -- Signal declaration for the switches and the leds
-  signal switch, ledr : std_ulogic_vector(9 downto 0) := "​0000000000"​+  signal switch, ledr : std_ulogic_vector(9 downto 0); 
  
 begin begin
  • die_erste_schaltung.txt
  • Last modified: 2014/03/27 14:14
  • by beckmanf