[[die_erste_schaltung]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
die_erste_schaltung [2010/11/12 10:36]
beckmanf std_ulogic_vector eingeführt
die_erste_schaltung [2014/03/27 14:14]
beckmanf Swapped Schaltung / Testbench
Line 3: Line 3:
 besteht aus zwei VHDL Dateien, der eigentlichen Schaltung und  besteht aus zwei VHDL Dateien, der eigentlichen Schaltung und 
 einer Testbench, mit der die Schaltung simuliert werden kann.  einer Testbench, mit der die Schaltung simuliert werden kann. 
 +
 +== Die Schaltung ==
 +
 +<code vhdl first.vhd>​
 +library ieee;
 +use ieee.std_logic_1164.all;​
 +
 +-- Simple module that connects the SW switches to the LEDR lights
 +entity first is 
 +port ( SW   : in      std_ulogic_vector(9 downto 0);
 +       LEDR : out     ​std_ulogic_vector(9 downto 0));  -- red LEDs
 +end first;
 +
 +architecture structure of first is
 +begin
 +  LEDR <= SW;
 +end structure;
 +
 +</​code>​
  
 == Die Testbench == == Die Testbench ==
Line 27: Line 46:
  
 -- Signal declaration for the switches and the leds -- Signal declaration for the switches and the leds
-  signal switch, ledr : std_ulogic_vector(9 downto 0) := "​0000000000"​+  signal switch, ledr : std_ulogic_vector(9 downto 0); 
  
 begin begin
Line 52: Line 71:
 </​code>​ </​code>​
  
-== Die Schaltung == 
- 
-<code vhdl first.vhd>​ 
-library ieee; 
-use ieee.std_logic_1164.all;​ 
- 
--- Simple module that connects the SW switches to the LEDR lights 
-entity first is  
-port ( SW   : in      std_ulogic_vector(9 downto 0); 
-       LEDR : out     ​std_ulogic_vector(9 downto 0));  -- red LEDs 
-end first; 
- 
-architecture structure of first is 
-begin 
-  LEDR <= SW; 
-end structure; 
- 
-</​code>​ 
  • die_erste_schaltung.txt
  • Last modified: 2014/03/27 14:14
  • by beckmanf