[[dt-code]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
dt-code [2011/03/29 13:09]
beckmanf end component eingefügt
dt-code [2012/01/11 13:12]
beckmanf typos
Line 11: Line 11:
   a_i : in std_ulogic;   a_i : in std_ulogic;
   b_i : in std_ulogic; ​   b_i : in std_ulogic; ​
-  ​y_i : out std_ulogic);​ +  ​y_o : out std_ulogic);​ 
-end first;+end and_gate;
  
 architecture rtl of and_gate is architecture rtl of and_gate is
 begin begin
   y_o <= a_i and b_i;    y_o <= a_i and b_i; 
-end rtl; +end architecture ​rtl; 
   ​   ​
 </​code>​ </​code>​
Line 86: Line 86:
     y_o => y_o);     y_o => y_o);
  
-end mux; +end architecture ​mux; 
  
 </​code>​ </​code>​
Line 216: Line 216:
 with s_i select with s_i select
   y_o <= a_i when '​0',​   y_o <= a_i when '​0',​
-  y_o <= b_i when '​1';​+         b_i when '​1';​
  
 end rtl-6 end rtl-6
  • dt-code.txt
  • Last modified: 2024/03/12 00:19
  • by beckmanf