[[dt-code-sequ]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Last revision Both sides next revision
dt-code-sequ [2012/06/11 10:35]
beckmanf concurrent flipflop
dt-code-sequ [2014/01/08 10:58]
beckmanf flipflop process -> concurrent
Line 97: Line 97:
 entity strangecnt is  entity strangecnt is 
   port (   port (
-    ​clk_i                   : in std_ulogic;​ +    ​clk                     : in std_ulogic;​ 
-    ​reset_ni ​               ​: in std_ulogic;+    ​rst_n                   : in std_ulogic;
     s_i                     : in std_ulogic;     s_i                     : in std_ulogic;
     cnt0_o ​                 : out std_ulogic;     cnt0_o ​                 : out std_ulogic;
Line 109: Line 109:
   signal l : std_ulogic;   signal l : std_ulogic;
 begin begin
-  ​seq_p : process(clk_i,​ reset_ni) +   
-  ​begin +  ​c0 <= '​0' ​when rst_n = '​0' ​else c0_new when rising_edge(clk);  
-    if reset_ni ​= '​0' ​then +  c1 <= '​0' ​when rst_n = '​0'​ else c1_new when rising_edge(clk);
-      c0 <= '​0';​ +
-      c1 <= '​0'​;  +
-    elsif rising_edge(clk_ithen +
-      c0 <= c0_new; +
-      c1 <= c1_new;  +
-    end if; +
-  end process seq_p;+
  
   c0_new <= not c0;   c0_new <= not c0;
  • dt-code-sequ.txt
  • Last modified: 2014/01/08 11:02
  • by beckmanf