[[dt-code-vecnum]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
dt-code-vecnum [2011/01/13 09:04]
beckmanf Kommentar eingefügt
dt-code-vecnum [2011/01/13 10:26] (current)
beckmanf sensitivity list
Line 36: Line 36:
   end process seq_p;  ​   end process seq_p;  ​
  
-  comb_p : process(load_i,​ sr)+  comb_p : process(load_i,​ sr, data_i, shift_left_i,​ shift_right_i,​ rotate_left_by2_i)
   begin   begin
     new_sr <= sr;     new_sr <= sr;
     if load_i = '​1'​ then     if load_i = '​1'​ then
-      ​sr <= data_i+      ​new_sr ​<= data_i;
     elsif shift_right_i = '​1'​ then     elsif shift_right_i = '​1'​ then
       new_sr(7) <= '​0';​       new_sr(7) <= '​0';​
  • dt-code-vecnum.txt
  • Last modified: 2011/01/13 10:26
  • by beckmanf