[[dtlab_t5]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
dtlab_t5 [2024/03/12 00:25]
beckmanf [Aufgabenstellung]
dtlab_t5 [2024/04/08 11:29] (current)
beckmanf [HEX3 und HEX2 für Summand sa]
Line 17: Line 17:
 Abb. 1: Toplevel top_hex Abb. 1: Toplevel top_hex
  
-Die HEXn Ausgänge steuern [[https://​de.wikipedia.org/​wiki/​Segmentanzeige|7-Segmentanzeigen]] wie in Abbildung 2 an. Jedes einzelne Segment ist aus einer Leuchtdiode aufgebaut und kann einzeln ein- und ausgeschaltet werden. Zusammen bilden sie eine Darstellung einer Ziffer oder eines Buchstabens. Es gibt vier 7-Segmentanzeigen.+Die HEXn Ausgänge steuern [[https://​de.wikipedia.org/​wiki/​Segmentanzeige|7-Segmentanzeigen]] wie in Abbildung 2 an. Jedes einzelne Segment ist aus einer Leuchtdiode aufgebaut und kann einzeln ein- und ausgeschaltet werden. Zusammen bilden sie eine Darstellung einer Ziffer oder eines Buchstabens. Es gibt vier 7-Segmentanzeigen ​auf dem Altera Board.
  
 <​html>​ <​html>​
Line 65: Line 65:
 Abb. 3: bin2seg erzeugt die Ansteuerung einer 7-Segmentanzeige als Hexadezimalzahl Abb. 3: bin2seg erzeugt die Ansteuerung einer 7-Segmentanzeige als Hexadezimalzahl
  
-Wie in Abbildung 3 dargestellt,​ hat "​bin2seg"​ einen 4 Bit breiten Eingang "​bin_i"​ und einen 7 Bit breiten Ausgang "​seg_o"​. Diese Schaltung wandelt eine binäre 4 Bit vorzeichenlose Zahl, die an dem Eingang "​bin_i"​ anliegt, in eine Ansteuerung für 7-Segmentanzeige um. Die Zahl wird dort als Hexadezimalzahl dargestellt.+Wie in Abbildung 3 dargestellt,​ hat "​bin2seg"​ einen 4 Bit breiten Eingang "​bin_i"​ und einen 7 Bit breiten Ausgang "​seg_o"​. Diese Schaltung wandelt eine binäre 4 Bit vorzeichenlose Zahl, die an dem Eingang "​bin_i"​ anliegt, in eine Ansteuerung für eine 7-Segmentanzeige um. Die Zahl wird dort als Hexadezimalzahl dargestellt.
  
 <code vhdl> <code vhdl>
Line 89: Line 89:
 ===== Aufgaben ===== ===== Aufgaben =====
  
-==== HEX3 und HEX2 für Summand sa ====+==== HEX3 und HEX2  ====
  
-Erweitern Sie den Code, damit an HEX3 und HEX2 der Summand "​sa" ​als Hexadezimalwert dargestellt wird.+Erweitern Sie den Code, damit auch an HEX3 und HEX2 die Summe als Hexadezimalwert dargestellt wird.
  
 ==== + und - Anzeige ==== ==== + und - Anzeige ====
  • dtlab_t5.1710199555.txt.gz
  • Last modified: 2024/03/12 00:25
  • by beckmanf