[[dtpr_versuch_4]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
dtpr_versuch_4 [2013/10/17 16:35]
beckmanf Version von Hans
dtpr_versuch_4 [2020/09/28 15:52]
beckmanf checkout changed
Line 1: Line 1:
-====== Praktikum ​Digitaltechnik ​Versuch ​4 ======+===== Digitaltechnik ​Praktikum ​Versuch ​2 - Kombinatorische Schaltung / Hierarchische Schaltung ​=====
  
-===== Ziele: ===== +Das Ziel dieses Versuchs ist die Beschreibung ​von kombinatorischen Schaltungen mit Hilfe von
-In dieser Versuchseinheit stehen folgende Lernziele im Vordergrund:​ +
-  * Entwicklung und vollständige Überprüfung einfacher Zustandsautomaten +
-  * Kopplung ​von Zustandsautomaten +
-  * Synchrone Standardelemente und -schaltungen einsetzen +
-  * Aufbau ​von schnellen Zählern aus einem Schieberegister ​+
  
 +  * Booleschen Ausdrücken
 +  * with select Beschreibung
  
-===== Anerkennung als Studienarbeit ===== +sowie die hierarchische Beschreibung ​von Schaltungen in VHDL. Als praktisches Beispiel werden Sie eine Schaltung entwerfen, die jeweils vier SW Schalter binär interpretiert als Ziffer an einer Siebensegmentanzeige anzeigt. Die Eingänge der Schaltung werden mit den Schaltern verbunden und die Ausgänge werden ​mit der Siebensegmentanzeige und den roten LED's verbundenWenn beispielsweise die Schalter SW3..SW0 auf "​0101"​ stehen, dann soll die Ziffer "​5"​ an der Siebensegmentanzeige angezeigt werden.
-Zur Anerkennung dieses Praktikumsversuchs als Teilstudienleistung ist es notwendig, alle vorbereitenden Teilaufgaben und Laboraufgaben ​von den jeweiligen Betreuern ​mit Unterschrift auf dem {{:​public:​praktikum_digitaltechnik:​versuch4:​praktikumsprotokoll-digitaltechnik-versuch4.pdf|Praktikumsprotokoll}} bestätigen zu lassen.+
  
-===== Theoretischer Hintergrund ​===== +==== Projekt aktualisieren ​====
-Die bisher kennen gelernten Standardelemente und Entwurfstechniken sollen wiederholt und damit vertieft werden. Im Fokus steht hier aber die Entwicklung von Zustandsautomaten.+
  
-Da wir uns bewußt nur auf endliche Automaten vom Typ Moore beschränken,​ werden die Entwurfsregeln für synchrone Schaltungen noch um einen Punkt erweitert:+Um ihre lokalen Projektdateien mit eventuellen neuen Dateien ​auf dem git Server zu aktualisieren können sie im Verzeichnis "​digitaltechnikpraktikum"​
  
-  * Es gibt nur ein gemeinsames Taktsignal clk, welches an allen Takteingängen angeschlossen wird. +<​code>​ 
-  * Ein Taktsignal wird niemals über kombinatorische Elemente verknüpft. +git pull
-  * Jedes synchrone Modul besitzt ein asynchrones Rücksetzsignal,​ das nicht über kombinatorische Logikelemente geführt werden darf. +
-  * Als Zustandsautomaten dürfen nur Moore-Maschinen eingesetzt werden. +
- +
-Das Blockdiagramm eines Moore-Automaten ist nachfolgend nochmal abgebildet. +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​fsm-blockdiagram-deutsch.png|Moore-Automat - Blockdiagramm}} +
- +
-Außerdem wird ein Ansatz zur Entwicklung und Überpüfung einfacher Zustandsautomaten unter Verwendung eines Zustandsmaschineneditors gezeigt. +
- +
-==== 2-Bit Modulo-3 Abwärtszähler ==== +
-Automatendiagramme (engl. State Machine Diagram) können mit Hilfe des Zustandsmaschineneditors QFSM sehr einfach erstellt werden. QFSM bietet außerdem verschiedene Möglichkeiten,​ um aus der grafischen Darstellung in verschiedene Beschreibungssprachen zu exportieren. +
- +
-=== Entwurf === +
-Nachfolgend sind Symbol und Zustandsdiagramm eines 2-Bit Modulo-3 Abwärtszählers **cnt2dnmod3** dargestellt. Nach dem obligatorischen asynchronen Reset (durch rst_n) in den Zustand s0 ist der Zählzyklus 2, 1, 0. Dabei wird bei Erreichen des Zählwerts 0 das Ausgangssignal tc_o auf '​1'​ gesetzt. +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​cnt2dnmod3_symbol.png|2-Bit Modulo-3 Abwärtszählers - Symbol}} +
-   +
-Ohne Legende ist es nicht einfach bis unmöglich, das Diagramm zu interpretieren. Welche Eingangssignale stehen an den Zustandsübergängen ? Welche Bedeutung haben die einzelnen Bits in den Zuständen ? Deshalb ist es immer notwendig, eine Legende, welche direkt aus QFSM erstellt werden kann, zu erzeugen. +
- +
-  Inputs: ​  ​en_pi +
-  State/​Output tc_o count_o[1] count_o[0] +
-  s0           ​1 ​   0          0           +
-  s1           ​0 ​   1          0           +
-  s2           ​0 ​   0          1           +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​cnt2dnmod3_statediagram.png|2-Bit Modulo-3 Abwärtszählers - Zustandsdiagramm}} +
- +
-Beim Export der VHDL-Beschreibung steht die Legende nochmals als Kommentar am Anfang des Quellcodes. +
- +
-<​code ​vhdl cnt2dnmod3_qfsm.vhd+
--- Inputs: ​  ​en_pi +
--- State/​Output tc_o count_o[1] count_o[0] +
--- s0           ​1 ​   0          0           +
--- s1           ​0 ​   1          0           +
--- s2           ​0 ​   0          1           +
- +
-LIBRARY IEEE; +
- +
-USE IEEE.std_logic_1164.ALL;​ +
- +
-ENTITY cnt2dnmod3 IS +
-  PORT (clk     : IN  std_ulogic;​ +
-        rst_n   : IN  std_ulogic;​ +
-        en_pi   : IN  std_ulogic;​ +
-        tc_o    : OUT std_ulogic;​ +
-        count_o : OUT std_ulogic_vector(1 DOWNTO 0)); +
-END cnt2dnmod3;​ +
- +
-ARCHITECTURE qfsm OF cnt2dnmod3 IS +
- +
-  TYPE state_type IS (s0, s1, s2); +
-  SIGNAL next_state, current_state : state_type;​ +
- +
-BEGIN +
-  state_register : PROCESS (rst_n, clk) +
-  BEGIN +
-  ...+
 </​code>​ </​code>​
  
-=== Verifikation === +eingebenDann werden neue oder geänderte Daten vom git server geladenWenn auf dem Server Änderungen an Dateien vorgenommen wurden für die Sie auch Änderungen vorgenommen haben, z.Bfür die Datei t_ledcomb.vhd, dann wird die pull Aktion abgebrochenIn diesem Fall müssen die Dateien zusammengeführt werden, allerdings können Sie auch ihre Änderungen verwerfenSie können ihre Änderungen verwerfen und den letzten Stand wiederherstellen mit
-Zur vollständigen Verifikation einer Zustandsmaschine ist es notwendig, alle Zustandsübergänge zu durchlaufen,​ d.hzu stimulierenDies geschieht am besten systematisch. +
- +
-<code vhdl> +
-  stimuli_p : PROCESS +
- +
-  BEGIN +
- +
-    WAIT UNTIL rst_n = '​1'; ​           -- wait until asynchronous reset ... +
-                                        -- ... is deactivated +
- +
-    -- Complete Verification of State Machine means: stimulate every transition:​ +
-    -- Transition 0 +
-    en_pi <= '​0'; ​                      -- deactivate enable input en_pi +
-    WAIT FOR period; +
- +
-    -- Transition 1 +
-    en_pi <= '​1'; ​                      -- activate enable input en_pi +
-    WAIT FOR period; +
- +
-    -- Transition 2 +
-    en_pi <= '​0'; ​                      -- deactivate enable input en_pi +
-    WAIT FOR period; +
- +
-    -- Transition 3 +
-    en_pi <= '​1'; ​                      -- activate enable input en_pi +
-    WAIT FOR period; +
- +
-    -- Transition 4 +
-    en_pi <= '​0'; ​                      -- deactivate enable input en_pi +
-    WAIT FOR period; +
- +
-    -- Transition 5 +
-    en_pi <= '​1'; ​                      -- activate enable input en_pi +
-    WAIT FOR period; +
- +
-    clken_p <= false; ​                  -- switch clock generator off +
- +
-    WAIT;                               -- suspend process +
-  END PROCESS;+
  
 +<​code>​
 +cd
 +cd digitaltechnikpraktikum/​src
 +git checkout .
 +cd ..
 </​code>​ </​code>​
  
-=== WAIT-Anweisungen in Testbenches === +Danach sollte die pull Aktion funktionieren.
-In VHDL erfolgen Wertzuweisungen an Signale über ein sogenanntes Transaktionskonzept. Signalaktualisierungen erfolgen erst dann, wenn alle im vorherigen Simulationszyklus aktivierten Prozesse abgearbeitet wurden und wieder in einen Wartezustand übergegangen sind.+
  
-Da aufgrund von Entwurfsregeln nur synchrone Maschinen entwickelt werden dürfen, erfolgen in der Verifikation im Simulator (wie auch im Prototypentest) alle Signalzuweisungen synchron. Damit kann als Referenz eine vorher definierte Periode verwendet werden, wie in obigem Stimulusprozess ''​stimuli_p''​.+==== Beispiel ledcomb_rtl.vhd ====
  
-<code vhdl> +Im Projektverzeichnis finden Sie als Beispiel für die Darstellung von kombinatorischen Schaltungen die Datei [[https://​git.etech.fh-augsburg.de/​friedrich.beckmann/​digitaltechnikpraktikum/​blob/​master/​src/​ledcomb_rtl.vhd|ledcomb_rtl.vhd]]. Dazu passend gibt es das Simulationsverzeichnis "​sim/​ledcomb"​ und das Syntheseverzeichnis "pnr/ledcomb"​.
-    en_pi <= '​1'; ​                      -- activate enable input en_pi +
-    WAIT FOR period; +
-</code>+
  
-**Syntax:**+Die Schaltung ledcomb hat als Eingänge die Schalter "​SW"​. Die Ausgänge sind die roten LEDs "​LEDR"​ und die grünen LEDs "​LEDG"​. Die roten LEDs zeigen den Zustand der Schalter an. An den grünen LEDs werden verschiedene boolesche Funktionen dargestellt. In der Schaltung ledcomb gibt es weiterhin zwei Signale s0 und s1. Die Signale können wie Netze in einem Schaltplan verstanden werden.
  
-  ​WAIT [FOR time_expression]; ​-- stoppt ​die Prozessabarbeitung ​für die angegebene Zeitdauer +  - Skizzieren Sie die Schaltungen ​für die Ausgänge LEDG(0), LEDG(1), LEDG(2), LEDG(3) 
-   +  ​- Für welche Schalterkombinationen leuchtet der Ausgang LEDG(0)? 
-**Beispiele:​**+  - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(1)? 
 +  - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(2)? 
 +  - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(3)? 
 +  - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(4)? 
 +  - Der Ausgang LEDG(4) wird über eine with .. select Anweisung beschrieben. Skizzieren Sie eine Schaltung, die die gleiche boolesche Funktion hat. 
 +  - Erläutern Sie wie die Ausgänge LEDG(7..5) von den Eingängen SW(9..6) abhängen.
  
-<code vhdl> +In der Datei [[https://gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​src/​t_ledcomb.vhd|t_ledcomb.vhd]] befindet sich die Testbench für das Design in ledcomb.vhd. Starten Sie im Verzeichnis "​sim/​ledcomb"​ die Simulation der Schaltung. Erläutern Sie das Verhalten der Ausgänge LEDG und LEDR im Simulator!
-  WAIT FOR 5 NS; +
-  WAIT FOR period; +
-  WAIT FOR period ​2; +
-  WAIT FOR 6 * period; +
-</code>+
  
-**Syntax:**+Wechseln Sie jetzt in das Verzeichnis "​pnr/​ledcomb",​ starten Sie die Schaltungssynthese und laden Sie das Design auf das FPGA Board.
  
-  ​WAIT; -- warte für immer, beendet somit den Prozess (englsuspend)+  - Prüfen Sie ob das Ergebnis im Simulator mit dem Verhalten auf dem Board übereinstimmt. 
 +  ​Welche Tests fehlen ​für welche Schaltungsteile noch? 
 +  - Prüfen Sie die fehlenden Tests auf dem Board nach. 
 +  - Wie und Warum unterscheiden sich die Dateien [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​pnr/​ledcomb/​ledcomb_pins.tcl|ledcomb_pins.tcl]] und [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​pnr/​ledsw-make/​ledsw_pins.tcl|ledsw_pins.tcl]]?
  
-**Beispiel:​** +=== Analyse des Syntheseergebnisses ===
-   +
-<code vhdl> +
-    WAIT; +
-  END PROCESS; +
-</​code>​+
  
-**Syntax:**+Die Quartussoftware erlaubt eine Analyse des Syntheseergebnisses. In Figure 2-1 im [[https://​www.hs-augsburg.de/​~beckmanf/​restricted/​DE1_V.1.0.1_CDROM/​Datasheets/​Cyclone_II/​cyc2_cii5v1_01.pdf|Cyclone II Datenblatt]] ist die Chipstruktur des FPGA dargestellt. Ein Großteil des FPGA besteht aus Logikelementen (LE), die konfigurierbar miteinander verbunden werden können. Ein Logikelement ist in Figure 2-3 im Datenblatt dargestellt. Mit der "​Four-Input LUT" (eine Lookuptabelle mit 4 Eingängen) kann jede boolesche Funktion mit 4 Eingängen und einem Ausgang dargestellt werden. Im Logikelement befindet sich zusätzlich noch ein Flipflop, das in diesem Versuch nicht benutzt wird. Insgesamt befinden sich auf dem FPGA auf dem Board 18752 Logikelemente. Bei der Synthese müssen die Logikelemente konfiguriert werden, d.h. die boolesche Funktion der "​Four-Input LUT" wird festgelegt, und die Logikelemente müssen miteinander verbunden werden.
  
-  WAIT [ON signal_name_1] {,signal_name_n};​ -- warte, bis sich ein Signalwert in der Liste ändert+Wechseln Sie in das Syntheseverzeichnisstarten Sie die Synthese und starten Sie die Quartus GUI.
  
-**Beispiele:​** +<​code>​ 
- +cd 
-<​code ​vhdl+cd digitaltechnikpraktikum/​pnr/​ledcomb 
-  WAIT ON tc_o;+make compile 
 +make quartus
 </​code>​ </​code>​
  
 +Starten Sie mit "Tools → Netlist Viewers → RTL Viewer"​ die graphische Darstellung Ihres VHDL Codes.
  
-**Syntax:​** +{{  :ledcomb01.jpg  ​| ​Quartus RTL Viewer}}
- +
-  WAIT [UNTIL condition]; -- unterbricht die Prozessbearbeitung solange, bis die Bedingung erfüllt ist +
- +
-**Beispiele:​** +
- +
-<code vhdl> +
-  WAIT UNTIL falling_edge(clk_i);​ +
-  WAIT UNTIL rising_edge(clk_i);​ +
-  WAIT UNTIL count_o = "​1001";​ +
-</​code>​ +
- +
- +
-===== Vorbereitetung ===== +
- +
-Alle nachfolgend beschriebenen Aufgaben müssen wieder vor dem Versuchstermin bearbeitet werden. +
- +
-Laden Sie sich den **QFSM Zustandsmaschineneditor** aus dem Downloadbereich für [[http://​www.hs-augsburg.de/​~haf/​index.php?​site=rgs/​cadtools/​index.html|CAD Tools]] und installieren Sie dieses auf Ihrem persönlichen Computer. Alternativ können Sie die Installation in den PC-Räumen des Rechenzentrums unserer Hochschule nutzen. +
- +
-Arbeiten Sie die {{:​public:​praktikum_digitaltechnik:​versuch4:​qfsm-tutorial.pdf|Kurzanleitung}} zu QFSM durch. +
- +
- +
- +
-==== 3-Bit Modulo-6 Aufwärtszähler ==== +
-Mit Hilfe des Zustandsmaschineneditors QFSM soll ein 3-Bit Modulo-6 Aufwärtszähler **cnt3upmod6** entwickelt werden. Im Gegensatz zu einem Abwärtszähler,​ bei dem das Terminal Count Signal tc_o bei erreichen des Zählwerts 0 gesetzt wird, ist bei einem Aufwärtszähler der maximale Zählwert (hier: der dezimale Wert 5) das Kriterium zum Setzen von tc_o. +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​cnt3upmod6_symbol.png?​300|3-Bit Modulo-6 Aufwärtszähler - Symbol}} +
- +
-Die Hierarchie des Zählermoduls:​ +
- +
-  t_cnt3upmod6(tbench) +
-    cnt3upmod6(qfsm) +
- +
- +
- +
-  * Zeichnen Sie mit QFSM das Zustandsdiagramm und speichern es in ''​src/​cnt3upmod6.fsm''​ im Quellcodeverzeichnis ''​DigitaltechnikPraktikum/​src''​ ab. +
- +
-  * Exportieren Sie daraus eine VHDL-Beschreibung in die Datei ''​DigitaltechnikPraktikum/​src/​cnt3upmod6_qfsm.vhd''​. +
- +
-  * Egänzen Sie die Testbench ''​DigitaltechnikPraktikum/​src/​t_cnt3upmod6.vhd''​ und führen Sie mit ModelSim eine vollständige Verifikation der Maschine durch. Stellen Sie in der Simulationsausgabe auch den aktuellen Zustand (SIGNAL current_state) dar. +
- +
- +
-==== 4-Bit Modulo-10 Aufwärtszähler ==== +
-Mit Hilfe des Zustandsmaschineneditors QFSM soll ein 4-Bit Modulo-10 Aufwärtszähler **cnt4upmod10** entwickelt werden. +
- +
-{{:public:​praktikum_digitaltechnik:​versuch4:​cnt4upmod10_symbol.png?​300|4-Bit Modulo-10 Aufwärtszähler - Symbol}} +
- +
-Gehen Sie bei der Entwicklung des Moduls in den gleichen Schritten vor, wie bei dem Modul 3-Bit Modulo-6 Aufwärtszähler. +
- +
-==== Zweistelliger Dezimalzähler ==== +
-Aus den obigen Zählerblöcken kann nun ein Dezimalzähler für zwei Stellen (Einer- und Zehnerstelle) erstellt werden. Da dieser als Sekundenzähler verwendet werden soll, hat er einen Zahlenraum von 0 .. 59. +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​decimal_upcounter_block_diagram_simple.png?​400|Dezimalzähler - Einfach}} +
- +
-Die Hierarchie des Zählermoduls:​ +
- +
-  t_decimal_upcounter(tbench) +
-    decimal_upcounter(structure) +
-      cnt3upmod6(qfsm) +
-      cnt4upmod10(qfsm) +
- +
- +
-  * Verbinden Sie im Architecture Body ''​structure''​ des Entwurfs **decimal_upcounter** die beiden Zählermodule wie oben gezeigt. +
- +
-  * Ergänzen Sie den Prozess ''​stimuli_p''​ der Testbench **t_decimal_upcounter**,​ um einen vollständigen Zählzyklus zu simulieren. +
- +
-  * Der vollständige Zählzyklus soll auch im Prototypentest auf dem DE1-Board mit dem Logikanalysator gemessen werden. Die Ein-/​Ausgangssignale müssen dazu in der Testumgebung **de1_decimal_upcounter** an folgende General Purpose I/Os geführt werden: +
- +
-  Connector GPIO_1: ​       +
-  GPO_1[3:0] = digit_one_o +
-  GPO_1[6:4] = digit_ten_o +
-  GPO_1[7] ​  = tc_o          +
-  GPO_1[8] ​  = clk_i         +
-   +
- +
-==== Verkehrsampel - Vereinfachte Version 0.1 ==== +
-Zähler werden in der Regel nicht mit Hilfe von Zustandsdiagrammen konstruiert,​ unser Ansatz beruht auf didaktischen Überlegungen. Für die Modellierung von Zählern gibt es in VHDL abstrakte und leistungsfähige Konstrukte, die sich an die Methode aus Versuch 3 (Inkrementer und Zustandsregister) anlehnen.  +
- +
-Ein klassisches Beispiel für den Entwurf von Zustandsmaschinen stellt eine Verkehrsampel dar. +
- +
-Die vier Ampelphasen +
- +
-  * Rot +
-  * Rot und Gelb +
-  * Grün +
-  * Gelb +
- +
-werden zyklisch durchlaufen. Vereinfacht kann damit jeder Phase ein Zustand in einem Zustandsdiagram zugewiesen werden: +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​traffic_light_v0.1_state_diagram.png?​180|Verkehrsampel v0.1 - Zustandsdiagramm}} +
- +
-Die Legende für die Ein-/​Ausgangssignale des Zustandsdiagramms:​ +
- +
-  Inputs: ​  ​next_phase_en_pi ​            +
-  Output: ​  red_o yellow_o green_o +
-      +
- +
-Diese erste Version soll auf dem Prototypenboard DE MAX II Micro Kit (= DE-nano) realisert werden. Das Board besitzt ebenfalls einen Taktgenerator mit 50 MHz und acht verschiedenfarbige LEDs, geeignet für unsere Ampelanlage. Wir verwenden davon +
- +
-  LED4 = Rot +
-  LED3 = Gelb +
-  LED2 = Grün +
- +
-Die Hierarchie der einfachen Ampelsteuerung zum Prototypentest:​ +
- +
-  demmk_traffic_light(structure) +
-    traffic_light(qfsm) +
- +
-  * Erstellen Sie mit QFSM das Zustandsdiagramm ''​traffic_light-v0.1.fsm''​ mit Export in die Datei ''​DigitaltechnikPraktikum/​src/​traffic_light_qfsm.vhd''​ +
- +
-  * Beim Prototypentest im Labor soll schrittweise über den Taster Button2 von einer Ampelphase in die nächste geschaltet werden, Button1 wird als asynchroner Reset verwendet. +
- +
-  * Zeichnen Sie ein Blockschaltbild basierend auf dem Prototypentest ''​DigitaltechnikPraktikum/​src/​demmk_traffic_light_structure.vhd'',​ das die Verbindung der Taster (Button1 und 2) und LEDs mit dem Ampelmodul zeigt. +
- +
-  * Von einer Verifikation im Simulator wird hier abgesehen. +
- +
- +
-===== Laboraufgaben ===== +
-Zunächst haben Sie die Gelegenheit,​ mit den Betreuern die aufgetretenen Fragen und Probleme bei der Vorbereitung zu klären. +
- +
-==== Messung: Dezimalzähler am Logikanalysator ==== +
- +
-  * Projektverzeichnis +
- +
-  DigitaltechnikPraktikum/​pnr/​de1_decimal_upcounter +
- +
-  * Überprüfen Sie die Funktion des Dezimalzählers mit Hilfe des Logikanalysators. Messen Sie dabei das Taktsignal und alle Ausgangssignale. Stellen Sie einen vollständigen Zählzyklus dar. +
- +
- +
-==== Dezimalzähler an 7-Segmentanzeige ==== +
-Für den Einsatz als Sekundenzähler an zwei 7-Segmentanzeigen ist wieder das Frequenzteilermodul **cntdnmodm** aus Versuch 3 notwendig. +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​de1_decimal_upcounter_block_diagram.png?​600|Dezimalzähler an 7-Segmentanzeige auf DE1-Board}} +
- +
-  * Projektverzeichnis +
- +
-  DigitaltechnikPraktikum/​pnr/​de1_decimal_upcounter_1sec +
- +
-  * Führen Sie einen Prototypentest durch, welches Ihren vorher verifizierten Dezimalzähler verwendet. Stellen Sie sich folgenden Fragen: +
-    * Funktioniert Ihr Zähler wie erwartet ? +
-    * Welche Informationen benötigen Sie, um den Fehler genauer zu analysieren ? +
-    * Worin unterscheidet sich dieser Prototypentest im Vergleich zum vorangegangenen ? +
- +
- +
-  * Zur genaueren Analyse des Problems bietet sich das Simulationswerkzeug an. Modifizieren Sie die Testbench **t_decimal_upcounter**,​ indem Sie das Frequenzteilermodul **cntdnmodm** mit den Parametern n=3, m=4 an den Aktivierungseingang en_pi des Dezimalzähler anschließen. +
-    * Warum werden hier andere Parameter (n=3, m=4) statt im Prototypentest verwendet ?  +
-    * Führen Sie damit eine erneute Simulation durch. Stellen Sie zusätzlich das interne Signal ''​tc_digit_one''​ dar. +
-    * Was passiert hier bei einem Übertrag von der Einer- auf die Zehnerstelle ? +
- +
-Die bisherige einfache Verknüpfung der Zählerstufen reicht hier leider nicht aus. Die Module müssen über einen Logikblock verbunden werden, damit der Übertrag von einer auf die nächste Stufe korrekt funktioniert. +
- +
-{{:​public:​praktikum_digitaltechnik:​versuch4:​decimal_upcounter_block_diagram_correct.png?​500|Dezimalzähler mit korrekter Verknüpfung der Zählerstufen}} +
- +
- +
-  * Nachdem Sie das Problem verstanden haben, ergänzen Sie im Dezimalzählermodul **decimal_upcounter** die notwendige Logik zur Verbindung der Zählerstufen und verifizieren erneut im Simulator. +
- +
-  * Schließlich sollte das richtige Verhalten auch im Prototoypentest **de1_decimal_upcounter_1sec** bestätigt werden.+
  
 +Sie können für die Ausgänge LEDG(0), LEDG(1), LEDG(2) und LEDG(3) die graphische Darstellung der booleschen Gleichung sehen. Sie können die zusammengefassten Ports wie SW(9..0) auch als einzelne Ports darstellen. Wählen Sie dazu den Port aus, klicken Sie dann auf die rechte Maustaste und wählen Sie "​Ungroup Selected Nodes"​. Der Code aus den "with … select"​ Anweisungen wird als Multiplexer mit konstanten Dateneingängen dargestellt.
  
-==== Verkehrsampel - Version 0.1 ====+Starten Sie mit "Tools → Chip Planner"​ die Gesamtansicht des FPGA Chips.
  
-  * Projektverzeichnis+{{  :​ledcomb02.jpg ​ | Quartus Chip Planner}}
  
-  DigitaltechnikPraktikum/​pnr/​demmk_traffic_light+Die hellblauen Felder sind die Felder mit leeren Logikelementen. Zoomen Sie in den Bereich mit den dunkelblauen Feldern. Die belegten Logikelemente sind blau markiert.
  
-  * Erstellen und übersetzten Sie das Quartus-Projekt mit+{{  :​ledcomb03.jpg ​ | Quartus ​Chip Planner Detail}}
  
-  $ make compile+Mit einem Doppelklick auf ein Logikelement können Sie den Inhalt und die Belegung des Logikelementes darstellen.
  
-  * Starten Sie die grafische Benutzeroberfläche von Quartus ​mit dem Ampelprojekt+{{  :​ledcomb04.jpg ​ | Quartus ​Chip Planner Logicelement}}
  
-  $ make quartus+Starten Sie mit "Tools → Netlist Viewers → Technology Map Viewer (Post Fitting)"​ eine Schaltplananzeige mit der Verschaltung der einzelnen Logikelemente nach der Synthese.
  
-  * Starten Sie den Programmer, setzen Sie darin die Haken bei den Optionen Program/​Configure und konfigurieren Sie damit das CPLD mit der Version 0.1 Ihrer Verkehrsampel auf dem Prototypenboard DE MAXII MicroKit (= DE-nano).+{{  :ledcomb05.jpg | Quartus Technology Map Viewer}}
  
-  * Können Sie mit dem Button2 von einer Ampelphase in die folgende schalten ?+Mit einem Doppelklick auf die Logikelemente wird die Konfiguration der Lookuptabelle als Schaltplan mit Logikgattern dargestellt.
  
 +  - Weisen Sie nach, dass die Eingänge des Logikelementes,​ dessen Ausgang an LEDG(3) angeschlossen ist, die Eingänge SW(0) und SW(1) sind.
 +  - Warum hat dieses Logikelement vor LEDG(3) eine ODER Funktion mit den Eingängen SW(0) und SW(1)?
 +  - Warum wird die Funktion für LEDG(0) nicht mit einem, sondern mit zwei Logikelementen berechnet?
 +  - Warum ist das Logikelement an LEDG(7) als UND Gatter konfiguriert?​
  
-==== Verkehrsampel - Version 0.2 ==== +==== Beispiel hierarchisches Design ​====
-Im Unterschied zur ersten einfachen Version sollen die Rot- und Grünphasen hier länger sein.+
  
-Zusätzlich müssen ​die Phasen auf Basis einer Sekunde selbständig durchlaufen werden.+In der Datei [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​src/​cntones_rtl.vhd|cntones_rtl.vhd]] finden Sie eine Schaltungsbeschreibung für eine Schaltung, ​die die Anzahl der Einsen an den vier Eingängen zählt. Zu der Schaltung gibt es eine Testbench [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​src/​t_cntones.vhd|t_cntones.vhd]]. Wechseln Sie in das Verzeichnis "​sim/​cntones"​ und starten Sie die Simulation.
  
-{{:​public:​praktikum_digitaltechnik:​versuch4:​traffic_light_v0.2_state_diagram.png?​500|Verkehrsampel v0.2 Zustandsdiagramm}}+  ​Funktioniert die Schaltung für die vorhandenen Testmuster in der Simulation?
  
-  * Projektverzeichnis+Diese Einserzählschaltung soll jetzt genutzt werden um die Anzahl der Einsen an den SW(3..0) und SW(9..6) zu zählen. Das Ergebnis für SW(3..0) soll an LEDG(2..0) und für SW(9..6) an LEDG(7..5) dargestellt werden.
  
-  DigitaltechnikPraktikum/pnr/demmk_traffic_light_1sec+In der Datei [[https://​gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​src/​ledcntsw_rtl.vhd|ledcntsw_rtl.vhd]] finden Sie die Schaltungsbeschreibung,​ die die Schaltung cntones zweimal instantiiert und mit den entsprechenden Eingängen und Ausgängen verbindet. Dazu gibt es das Simulationsverzeichnis "​sim/​ledcntsw"​ und das Syntheseverzeichnis "pnr/ledcntsw"​.
  
-  ​* Erstellen ​Sie mit QFSM das Zustandsdiagramm ''​traffic_light-v0.2.fsm'' ​mit Export in die Datei ''​DigitaltechnikPraktikum/​src/​traffic_light_qfsm.vhd''​+  ​- Überprüfen ​Sie die Funktion im Simulator 
 +  ​An welcher Stelle ist festgelegt, dass jetzt zwei VHDL Dateien für die Simulation notwendig sind? 
 +  - Synthetisieren Sie die Schaltung. 
 +  - Stellen Sie mit dem RTL Viewer die Struktur der Schaltung dar. 
 +  - Überlegen Sie wieviele Logikelemente sie für die Schaltung verbrauchen und begründen Sie dies. 
 +  - Stellen Sie mit dem Technology Map Viewer das Ergebnis der Synthese dar und vergleichen Sie die Anzahl der benötigten Logikelemente mit Ihren Überlegungen.
  
-  * Quellcodedatei für den Prototypentest ist ''​DigitaltechnikPraktikum/​src/​demmk_traffic_light_1sec_structure.vhd''​. Zeichnen Sie davon das Blockdiagramm auf Papier.+==== Aufgabe Siebensegmentanzeige ====
  
-Die Hierarchie ​der erweiterten Ampelsteuerung zum Prototypentest:​+Sie sollen eine Schaltung mit einer hierarchischen Schaltungsbeschreibung entwerfen, mit der die Schalter SW(3..0) als Binärdarstellung interpretiert als Hexadezimalzahl an HEX0 angezeigt wird. Weiterhin sollen die Schalter SW(9..6) als Hexdezimalzahl an HEX1 angezeigt werden.
  
-  ​demmk_traffic_light_1sec(structure) +  ​* Erstellen Sie hierzu zunächst eine neue Datei bin2seg_rtl.vhd. Diese Schaltung bin2seg soll 4 Eingänge bin_i(3..0und sieben Ausgänge seg_o(6..0haben. Diese Schaltung macht die Übersetzung von Binärdarstellung in die Siebensegmentansteuerung. 
-    traffic_light(qfsm+  * Erstellen Sie eine Testbench in der neuen Datei t_bin2seg.vhd um den Entwurf im Simulator zu überprüfen. 
-    ​cntdnmodm(rtl)+  * Erstellen Sie das Simulationsverzeichnis "​sim/​bin2seg"​ mit den dazugehörigen Dateien um die Simulation durchzuführen. 
 +  * Überprüfen Sie Ihr Design im Simulator
  
-  * Durchlaufen Sie alle Entwurfsschritte bis zum Prototypentest.+In der Datei de1_bin2seg_rtl.vhd soll das Design de1_bin2seg auf Basis der bin2seg Schaltung enthalten sein. Dort werden die Schalter und HEX0 und HEX1 Anschlüsse vom FPGA Board mit der bin2seg Schaltung verbunden.
  
 +  * Erstellen Sie die Datei de1_bin2seg_rtl.vhd
 +  * Erstellen Sie eine Testbench t_de1_bin2seg.vhd
 +  * Erstellen Sie ein Simulationsverzeichnis "​sim/​de1_bin2seg"​ mit den notwendigen Dateien
 +  * Überprüfen Sie das Design im Simulator
 +  * Erstellen Sie ein Syntheseverzeichnis "​pnr/​de1_bin2seg"​ mit den zugehörigen Synthesedateien
 +  * Synthetisieren Sie die Schaltung und laden Sie das Design auf das Board.
 +  * Überprüfen Sie die gewünschte Funktion auf dem Board
  
-===== Hinweise zu den Aufgaben des nächsten Versuchstermins ===== 
-Am Ende jedes Labortermins werden Ihnen von den Betreuern noch einige Hinweise zu den Aufgaben des nächsten Versuchs gegeben. 
  
  • dtpr_versuch_4.txt
  • Last modified: 2023/04/02 17:03
  • by beckmanf