[[dtpr_versuch_4]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
dtpr_versuch_4 [2020/09/28 15:45]
beckmanf switched to new gitlab server
dtpr_versuch_4 [2021/03/20 06:59]
beckmanf Multiplexer eingefügt.
Line 4: Line 4:
  
   * Booleschen Ausdrücken   * Booleschen Ausdrücken
 +  * Conditional Signal Assignments
   * with select Beschreibung   * with select Beschreibung
  
-sowie die hierarchische Beschreibung von Schaltungen. +sowie die hierarchische Beschreibung von Schaltungen ​in VHDL. Als praktisches Beispiel ​werden Sie eine Schaltung ​entwerfen, die jeweils ​vier SW Schalter binär interpretiert als Ziffer an einer Siebensegmentanzeige ​anzeigt. Die Eingänge der Schaltung werden mit den Schaltern verbunden und die Ausgänge werden mit der Siebensegmentanzeige ​und den roten LED'​s ​verbunden. Wenn beispielsweise die Schalter SW3..SW0 auf "​0101"​ stehen, dann soll die Ziffer "​5"​ an der Siebensegmentanzeige angezeigt werden.
- +
-Als praktisches Beispiel ​soll eine Schaltung ​entworfen werden, die aus vier Eingangssignalen die Steuersignale für eine Siebensegmentanzeige ​erzeugt. Die Eingänge der Schaltung werden mit den Schaltern verbunden und die Ausgänge werden mit der Siebensegmentanzeige verbunden.+
  
 ==== Projekt aktualisieren ==== ==== Projekt aktualisieren ====
Line 22: Line 21:
 <​code>​ <​code>​
 cd cd
-cd digitaltechnikpraktikum/​src+cd digitaltechnikpraktikum/​src 
-rm t_ledcomb.vhd +git checkout .
-git checkout ​t_ledcomb.vhd+
 cd .. cd ..
 </​code>​ </​code>​
Line 32: Line 30:
 ==== Beispiel ledcomb_rtl.vhd ==== ==== Beispiel ledcomb_rtl.vhd ====
  
-Im Projektverzeichnis finden Sie als Beispiel für die Darstellung von kombinatorischen Schaltungen die Datei [[https://git.etech.fh-augsburg.de/​friedrich.beckmann/​digitaltechnikpraktikum/​blob/​master/​src/​ledcomb_rtl.vhd|ledcomb_rtl.vhd]]. Dazu passend gibt es das Simulationsverzeichnis "​sim/​ledcomb"​ und das Syntheseverzeichnis "​pnr/​ledcomb"​.+Im Projektverzeichnis finden Sie als Beispiel für die Darstellung von kombinatorischen Schaltungen die Datei [[https://gitlab.elektrotechnik.hs-augsburg.de/​beckmanf/​digitaltechnikpraktikum/​blob/​master/​src/​ledcomb_rtl.vhd|ledcomb_rtl.vhd]]. Dazu passend gibt es das Simulationsverzeichnis "​sim/​ledcomb"​ und das Syntheseverzeichnis "​pnr/​ledcomb"​.
  
 Die Schaltung ledcomb hat als Eingänge die Schalter "​SW"​. Die Ausgänge sind die roten LEDs "​LEDR"​ und die grünen LEDs "​LEDG"​. Die roten LEDs zeigen den Zustand der Schalter an. An den grünen LEDs werden verschiedene boolesche Funktionen dargestellt. In der Schaltung ledcomb gibt es weiterhin zwei Signale s0 und s1. Die Signale können wie Netze in einem Schaltplan verstanden werden. Die Schaltung ledcomb hat als Eingänge die Schalter "​SW"​. Die Ausgänge sind die roten LEDs "​LEDR"​ und die grünen LEDs "​LEDG"​. Die roten LEDs zeigen den Zustand der Schalter an. An den grünen LEDs werden verschiedene boolesche Funktionen dargestellt. In der Schaltung ledcomb gibt es weiterhin zwei Signale s0 und s1. Die Signale können wie Netze in einem Schaltplan verstanden werden.
Line 42: Line 40:
   - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(3)?   - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(3)?
   - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(4)?   - Für welche Schalterkombinationen leuchtet der Ausgang LEDG(4)?
-  - Der Ausgang LEDG(4) ​wird über eine with .. select Anweisung beschrieben. Skizzieren Sie eine Schaltung, die die gleiche boolesche Funktion hat.+  - Skizzieren Sie die Schaltung für den Ausgang LEDG(4)
   - Erläutern Sie wie die Ausgänge LEDG(7..5) von den Eingängen SW(9..6) abhängen.   - Erläutern Sie wie die Ausgänge LEDG(7..5) von den Eingängen SW(9..6) abhängen.
  
Line 69: Line 67:
 Starten Sie mit "Tools → Netlist Viewers → RTL Viewer"​ die graphische Darstellung Ihres VHDL Codes. Starten Sie mit "Tools → Netlist Viewers → RTL Viewer"​ die graphische Darstellung Ihres VHDL Codes.
  
-{{  :​ledcomb01.jpg?800  | Quartus RTL Viewer}}+{{  :​ledcomb01.jpg ​ | Quartus RTL Viewer}}
  
 Sie können für die Ausgänge LEDG(0), LEDG(1), LEDG(2) und LEDG(3) die graphische Darstellung der booleschen Gleichung sehen. Sie können die zusammengefassten Ports wie SW(9..0) auch als einzelne Ports darstellen. Wählen Sie dazu den Port aus, klicken Sie dann auf die rechte Maustaste und wählen Sie "​Ungroup Selected Nodes"​. Der Code aus den "with … select"​ Anweisungen wird als Multiplexer mit konstanten Dateneingängen dargestellt. Sie können für die Ausgänge LEDG(0), LEDG(1), LEDG(2) und LEDG(3) die graphische Darstellung der booleschen Gleichung sehen. Sie können die zusammengefassten Ports wie SW(9..0) auch als einzelne Ports darstellen. Wählen Sie dazu den Port aus, klicken Sie dann auf die rechte Maustaste und wählen Sie "​Ungroup Selected Nodes"​. Der Code aus den "with … select"​ Anweisungen wird als Multiplexer mit konstanten Dateneingängen dargestellt.
Line 75: Line 73:
 Starten Sie mit "Tools → Chip Planner"​ die Gesamtansicht des FPGA Chips. Starten Sie mit "Tools → Chip Planner"​ die Gesamtansicht des FPGA Chips.
  
-{{  :​ledcomb02.jpg?800  | Quartus Chip Planner}}+{{  :​ledcomb02.jpg ​ | Quartus Chip Planner}}
  
 Die hellblauen Felder sind die Felder mit leeren Logikelementen. Zoomen Sie in den Bereich mit den dunkelblauen Feldern. Die belegten Logikelemente sind blau markiert. Die hellblauen Felder sind die Felder mit leeren Logikelementen. Zoomen Sie in den Bereich mit den dunkelblauen Feldern. Die belegten Logikelemente sind blau markiert.
  
-{{  :​ledcomb03.jpg?800  | Quartus Chip Planner Detail}}+{{  :​ledcomb03.jpg ​ | Quartus Chip Planner Detail}}
  
 Mit einem Doppelklick auf ein Logikelement können Sie den Inhalt und die Belegung des Logikelementes darstellen. Mit einem Doppelklick auf ein Logikelement können Sie den Inhalt und die Belegung des Logikelementes darstellen.
  
-{{  :​ledcomb04.jpg?800  | Quartus Chip Planner Logicelement}}+{{  :​ledcomb04.jpg ​ | Quartus Chip Planner Logicelement}}
  
 Starten Sie mit "Tools → Netlist Viewers → Technology Map Viewer (Post Fitting)"​ eine Schaltplananzeige mit der Verschaltung der einzelnen Logikelemente nach der Synthese. Starten Sie mit "Tools → Netlist Viewers → Technology Map Viewer (Post Fitting)"​ eine Schaltplananzeige mit der Verschaltung der einzelnen Logikelemente nach der Synthese.
  
-{{  :​ledcomb05.jpg?​800  ​| Quartus Technology Map Viewer}}+{{  :​ledcomb05.jpg | Quartus Technology Map Viewer}}
  
 Mit einem Doppelklick auf die Logikelemente wird die Konfiguration der Lookuptabelle als Schaltplan mit Logikgattern dargestellt. Mit einem Doppelklick auf die Logikelemente wird die Konfiguration der Lookuptabelle als Schaltplan mit Logikgattern dargestellt.
  • dtpr_versuch_4.txt
  • Last modified: 2023/04/02 17:03
  • by beckmanf