[[dtpr_versuch_7_hide]]

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
dtpr_versuch_7_hide [2014/11/06 08:27]
lueder [Zähler für den Sync Zähler (synccnt)]
dtpr_versuch_7_hide [2018/05/28 16:33]
anpf
Line 52: Line 52:
 Um die Automatenbeschreibung in VHDL kennenzulernen gibt es ein Spiel im Projektverzeichnis. Dieses Spiel sollen Sie analysieren. ​ Um die Automatenbeschreibung in VHDL kennenzulernen gibt es ein Spiel im Projektverzeichnis. Dieses Spiel sollen Sie analysieren. ​
  
-Arbeiten Sie das Kapitel 6 [[http://​dx.doi.org/​10.1524/​9783486710809.117|Reichardt,​ VHDL Synthese, Kapitel 6 - Entwurf von Zustandsautomaten]] durch. Dort ist beschrieben wie Zustandsautomaten in VHDL beschrieben werden. Sie können den Text als PDF laden, wenn Sie von einem Rechner in der Hochschule aus zugreifen, oder wenn Sie sich über das VPN von daheim mit dem Hochschulnetz verbinden [[http://​www.hs-augsburg.de/​einrichtung/rz/​dienste/​vpn-wlan/index.html|Siehe:​ Rechenzentrum/​VPN]]. ​+Arbeiten Sie das Kapitel 6 [[http://​dx.doi.org/​10.1524/​9783486710809.117|Reichardt,​ VHDL Synthese, Kapitel 6 - Entwurf von Zustandsautomaten]] durch. Dort ist beschrieben wie Zustandsautomaten in VHDL beschrieben werden. Sie können den Text als PDF laden, wenn Sie von einem Rechner in der Hochschule aus zugreifen, oder wenn Sie sich über das VPN von daheim mit dem Hochschulnetz verbinden [[https://​www.hs-augsburg.de/​Rechenzentrum/Datennetz-WLAN-VPN.html|Siehe:​ Rechenzentrum/​VPN]]. ​
  
 Analysieren Sie das Design "​play_rtl.vhd"​ und "​de1_play_structure.vhd"​. ​ Analysieren Sie das Design "​play_rtl.vhd"​ und "​de1_play_structure.vhd"​. ​
Line 165: Line 165:
 Jetzt sollen Sie einen Entwurf für einen HSYNC Generator machen, der das VGA_HS Signal für den VGA Anschluss erzeugt. Das Bild soll eine Auflösung von 640x480 Punkten und eine Bildwiederholfrequenz von etwa 60 Hz haben. Auf dem FPGA Board soll das 50 MHz Taktsignal genutzt werden. ​ Jetzt sollen Sie einen Entwurf für einen HSYNC Generator machen, der das VGA_HS Signal für den VGA Anschluss erzeugt. Das Bild soll eine Auflösung von 640x480 Punkten und eine Bildwiederholfrequenz von etwa 60 Hz haben. Auf dem FPGA Board soll das 50 MHz Taktsignal genutzt werden. ​
  
-{{:vgatop.jpg|VGA Bildgenerator}}+{{:dtpr-syncgen-arch.jpg|VGA Bildgenerator}}
  
 Der Entwurf soll zunächst komplett auf dem Papier erfolgen. Versuchen Sie bei Ihrem Entwurf einen Ausblick für die Erzeugung des VSYNC Signals und die RGB Signale zu geben. Für den Entwurf sollen ausschliesslich die folgenden Komponenten verwendet werden. Andere, komplexere Komponenten müssen Sie auf Basis der aufgeführten zulässigen Komponenten erstellen.  ​ Der Entwurf soll zunächst komplett auf dem Papier erfolgen. Versuchen Sie bei Ihrem Entwurf einen Ausblick für die Erzeugung des VSYNC Signals und die RGB Signale zu geben. Für den Entwurf sollen ausschliesslich die folgenden Komponenten verwendet werden. Andere, komplexere Komponenten müssen Sie auf Basis der aufgeführten zulässigen Komponenten erstellen.  ​
  • dtpr_versuch_7_hide.txt
  • Last modified: 2018/05/28 16:33
  • by anpf